Tags: #Markdown #Jekyll #Git #GitHub #Coding #Yalco #subpage #MacBook #개발환경 #index #제텔카스텐 #메모 #두번째뇌 #자기계발 #DigitalGarden #서평 #Book #UMA #NUMA #Memory #ComputerArchitecture #Apple #M1 #LeetCode #Python #Algorithm #Cplusplus #Array #DataStructure #운동 #근육 #웨이트 #건강 #유산소 #스트레칭 #MPI #CollectiveOperations #과로 #생산성 #근막 #DesignPattern #영어 #원서 #Reading #ComptureArchitecture #Lecture #Wentzlaff #Index #ISA #Microcode #Routine #Health #Wellbeing #HennessyPatterson #RISC #Textbook #English #Kindle #Cache #VirtualMemory #NLP #Attention #Transformer #Encoder #Decoder #GPT #MemoryHierarchy #SuperScalar #InstructionLevelParallelism #VLIW #OOP #Reference #OperatorOverloading #GPU #DataLevelParallelism #SIMD #VectorProcessor #ThreadLevelParallelism #Multiprocessing #CacheCoherence #Simulation #AlgoExpert #HowTo #Hardware #FPGA #DigitalDesign #HDL #Verilog #Verification #systemC






Subpage


  • Git 기본설정
  • Git 맥셋팅
  • Git 타임캡슐
  • Gitignore
  • Git 과거로돌아가기
  • Git 브랜치 관리
  • Github Basic
  • LeetCode Beginner
  • LeetCode Array101
  • 런지에 대하여
  • 객체지향프로그래밍의 시작
  • C++ 배열 기초
  • C++ 시작하기
  • C++ 의 세계로
  • C++ 의 참조자
  • 연산자 오버로딩
  • AlgoExpert 001-010
  • AlgoExpert 011-020
  • AlgoExpert 021-030
  • 가슴 운동 루틴
  • 다리 운동 루틴
  • 어깨 운동 루틴
  • 코어 전신 운동 루틴
  • 후면 운동 루틴
  • SystemC 00 Basic
  • SystemC 01 Hello World
  • SystemC 02 Module
  • SystemC 03 Constructor SC_CTOR
  • SystemC 04 SC_HAS_PROCESS
  • SystemC 05 Simulation Process
  • SystemC 06 Simulation Stages
  • SystemC 07 Time Notation
  • SystemC 08 Concurrency
  • SystemC 09 Event
  • SystemC 10 Combined Events
  • SystemC 11 Delta Cycle
  • SystemC 12 Sensitivity
  • SystemC 13 Initialization
  • SystemC 14 Process - Method
  • SystemC 15 Event Queue
  • SystemC 16 Combined Event Queue
  • SystemC 17 Mutex
  • SystemC 18 Semaphore
  • SystemC 19 FIFO
  • SystemC 20 Signal - Read and Write
  • SystemC 21 Signal - Detect Event
  • SystemC 22 Signal - Many Writers
  • SystemC 23 Resolved Signal
  • SystemC 24 sc_signal_bool
  • SystemC 25 Buffer
  • SystemC 26 Communication - port
  • SystemC 27 Communication - export
  • SystemC 28 Communication - port 2 port
  • SystemC 29 Communication - specialized ports
  • SystemC 30 Communication - port array
  • SystemC 31 Primitive Channel
  • SystemC 32 Hierarchical channel
  • SystemC 33 Trace File
  • SystemC 34 Error and Message Report
  • SystemC 35 Customized Data Type
  • SystemC 36 Clock
  • SystemC 37 Process - CTHREAD
  • SystemC 38 Handle trigger while busy
  • SystemC 39 Handle trigger while busy - template class
  • SystemC 40 Interrupt a thread while busy
  • SystemC 41 Interrupt a thread while busy - template class
  • SystemC 42 Data types
  • SystemC TLM 01 Introduction
  • SystemC TLM 02 Sockets, Generic Payload, Blocking Transport
  • SystemC TLM 03 Response Status, DMI, and Debug Transport
  • SystemC TLM 04 Routing Methods through Interconnect Components
  • AlgoExpert 031-040
  • AlgoExpert 041-050
  • AlgoExpert 051-060
  • AlgoExpert 061-070
  • AlgoExpert 071-080
  • AlgoExpert 081-090
  • AlgoExpert 091-100
  • AlgoExpert 101-110
  • AlgoExpert 111-120
  • AlgoExpert 121-130
  • AlgoExpert 131-140
  • AlgoExpert 141-150
  • AlgoExpert 151-160
  • AlgoExpert 161-170
  • AlgoExpert 091-100
  • AlgoExpert 091-100
  • AlgoExpert 191-200

  • Macbook


  • Git 맥셋팅

  • 개발환경


  • Git 맥셋팅


















  • Cplusplus


  • LeetCode Beginner
  • LeetCode Array101
  • 객체지향프로그래밍의 시작
  • C++ 배열 기초
  • C++ 시작하기
  • C++ 의 세계로
  • C++ 의 참조자
  • 씹어먹는 C++ 정리노트
  • 연산자 오버로딩
  • Event-Driven Simulator
  • AlgoExpert 001-010
  • AlgoExpert 011-020
  • AlgoExpert 021-030
  • SystemC 00 Basic
  • SystemC 01 Hello World
  • SystemC 02 Module
  • SystemC 03 Constructor SC_CTOR
  • SystemC 04 SC_HAS_PROCESS
  • SystemC 05 Simulation Process
  • SystemC 06 Simulation Stages
  • SystemC 07 Time Notation
  • SystemC 08 Concurrency
  • SystemC 09 Event
  • SystemC 10 Combined Events
  • SystemC 11 Delta Cycle
  • SystemC 12 Sensitivity
  • SystemC 13 Initialization
  • SystemC 14 Process - Method
  • SystemC 15 Event Queue
  • SystemC 16 Combined Event Queue
  • SystemC 17 Mutex
  • SystemC 18 Semaphore
  • SystemC 19 FIFO
  • SystemC 20 Signal - Read and Write
  • SystemC 21 Signal - Detect Event
  • SystemC 22 Signal - Many Writers
  • SystemC 23 Resolved Signal
  • SystemC 24 sc_signal_bool
  • SystemC 25 Buffer
  • SystemC 26 Communication - port
  • SystemC 27 Communication - export
  • SystemC 28 Communication - port 2 port
  • SystemC 29 Communication - specialized ports
  • SystemC 30 Communication - port array
  • SystemC 31 Primitive Channel
  • SystemC 32 Hierarchical channel
  • SystemC 33 Trace File
  • SystemC 34 Error and Message Report
  • SystemC 35 Customized Data Type
  • SystemC 36 Clock
  • SystemC 37 Process - CTHREAD
  • SystemC 38 Handle trigger while busy
  • SystemC 39 Handle trigger while busy - template class
  • SystemC 40 Interrupt a thread while busy
  • SystemC 41 Interrupt a thread while busy - template class
  • SystemC 42 Data types
  • SystemC TLM 01 Introduction
  • SystemC TLM 02 Sockets, Generic Payload, Blocking Transport
  • SystemC TLM 03 Response Status, DMI, and Debug Transport
  • SystemC TLM 04 Routing Methods through Interconnect Components
  • SystemC Tutorials
  • AlgoExpert 031-040
  • AlgoExpert 041-050
  • AlgoExpert 051-060
  • AlgoExpert 061-070
  • AlgoExpert 071-080
  • AlgoExpert 081-090
  • AlgoExpert 091-100
  • AlgoExpert 101-110
  • AlgoExpert 111-120
  • AlgoExpert 121-130
  • AlgoExpert 131-140
  • AlgoExpert 141-150
  • AlgoExpert 151-160
  • AlgoExpert 161-170
  • AlgoExpert 091-100
  • AlgoExpert 091-100
  • AlgoExpert 191-200














  • Designpattern


  • SOLID Principle


























  • Memoryhierarchy


  • Memory Hierarchy Design





  • Reference


  • C++ 의 참조자

  • Operatoroverloading


  • 연산자 오버로딩








  • Simulation


  • Event-Driven Simulator
  • SystemC 00 Basic
  • SystemC 01 Hello World
  • SystemC 02 Module
  • SystemC 03 Constructor SC_CTOR
  • SystemC 04 SC_HAS_PROCESS
  • SystemC 05 Simulation Process
  • SystemC 06 Simulation Stages
  • SystemC 07 Time Notation
  • SystemC 08 Concurrency
  • SystemC 09 Event
  • SystemC 10 Combined Events
  • SystemC 11 Delta Cycle
  • SystemC 12 Sensitivity
  • SystemC 13 Initialization
  • SystemC 14 Process - Method
  • SystemC 15 Event Queue
  • SystemC 16 Combined Event Queue
  • SystemC 17 Mutex
  • SystemC 18 Semaphore
  • SystemC 19 FIFO
  • SystemC 20 Signal - Read and Write
  • SystemC 21 Signal - Detect Event
  • SystemC 22 Signal - Many Writers
  • SystemC 23 Resolved Signal
  • SystemC 24 sc_signal_bool
  • SystemC 25 Buffer
  • SystemC 26 Communication - port
  • SystemC 27 Communication - export
  • SystemC 28 Communication - port 2 port
  • SystemC 29 Communication - specialized ports
  • SystemC 30 Communication - port array
  • SystemC 31 Primitive Channel
  • SystemC 32 Hierarchical channel
  • SystemC 33 Trace File
  • SystemC 34 Error and Message Report
  • SystemC 35 Customized Data Type
  • SystemC 36 Clock
  • SystemC 37 Process - CTHREAD
  • SystemC 38 Handle trigger while busy
  • SystemC 39 Handle trigger while busy - template class
  • SystemC 40 Interrupt a thread while busy
  • SystemC 41 Interrupt a thread while busy - template class
  • SystemC 42 Data types
  • SystemC TLM 01 Introduction
  • SystemC TLM 02 Sockets, Generic Payload, Blocking Transport
  • SystemC TLM 03 Response Status, DMI, and Debug Transport
  • SystemC TLM 04 Routing Methods through Interconnect Components
  • SystemC Tutorials



  • Hardware


  • Learning FPGA and Hardware Design
  • SystemC 00 Basic
  • SystemC 01 Hello World
  • SystemC 02 Module
  • SystemC 03 Constructor SC_CTOR
  • SystemC 04 SC_HAS_PROCESS
  • SystemC 05 Simulation Process
  • SystemC 06 Simulation Stages
  • SystemC 07 Time Notation
  • SystemC 08 Concurrency
  • SystemC 09 Event
  • SystemC 10 Combined Events
  • SystemC 11 Delta Cycle
  • SystemC 12 Sensitivity
  • SystemC 13 Initialization
  • SystemC 14 Process - Method
  • SystemC 15 Event Queue
  • SystemC 16 Combined Event Queue
  • SystemC 17 Mutex
  • SystemC 18 Semaphore
  • SystemC 19 FIFO
  • SystemC 20 Signal - Read and Write
  • SystemC 21 Signal - Detect Event
  • SystemC 22 Signal - Many Writers
  • SystemC 23 Resolved Signal
  • SystemC 24 sc_signal_bool
  • SystemC 25 Buffer
  • SystemC 26 Communication - port
  • SystemC 27 Communication - export
  • SystemC 28 Communication - port 2 port
  • SystemC 29 Communication - specialized ports
  • SystemC 30 Communication - port array
  • SystemC 31 Primitive Channel
  • SystemC 32 Hierarchical channel
  • SystemC 33 Trace File
  • SystemC 34 Error and Message Report
  • SystemC 35 Customized Data Type
  • SystemC 36 Clock
  • SystemC 37 Process - CTHREAD
  • SystemC 38 Handle trigger while busy
  • SystemC 39 Handle trigger while busy - template class
  • SystemC 40 Interrupt a thread while busy
  • SystemC 41 Interrupt a thread while busy - template class
  • SystemC 42 Data types
  • SystemC TLM 01 Introduction
  • SystemC TLM 02 Sockets, Generic Payload, Blocking Transport
  • SystemC TLM 03 Response Status, DMI, and Debug Transport
  • SystemC TLM 04 Routing Methods through Interconnect Components
  • SystemC Tutorials